Why it matters: This is the first time Intel has officially unveiled 14A, and although few details were provided, CEO Pat Gelsinger told reporters there will be at least double-digit power-performance improvements. The event also highlighted Intel's efforts to expand in the foundry market with marquee client Microsoft.

Intel used its first foundry event, Intel Foundry Direct Connect, to announce it has added Intel 14A to the company's node plan, confirm that its five-nodes-in-four-years process roadmap remains on track, and that it expects to regain process leadership with Intel 18A in 2025.

Another interesting reveal came during CEO Pat Gelsinger's keynote, when Microsoft CEO Satya Nadella said that Microsoft has chosen a chip design it plans to produce on the Intel 18A process, which will offer the foundry industry's first backside power solution.

Intel will be producing custom chips as part of a deal that the company says is worth more than $15 billion including wafer and advanced packaging. Although it wasn't made clear what these chips would be used for, it should be noted that Microsoft recently announced plans for two homegrown chips: a computer processor and an artificial intelligence accelerator.

The multibillion-dollar deal is a win for both companies. Intel wants to prove its bona fides in the foundry market, especially now that more companies are seeking to produce their own self-designed chips, and this agreement marks a major shift for the company as it races to catch up with foundry leaders like Taiwan Semiconductor Manufacturing Co. Microsoft, for its part, wants a reliable supply of semiconductors, Nadella said. "We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry. That's why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process."

The event also highlighted intellectual property and electronic design automation partners Synopsys, Cadence, Siemens, Ansys, Lorentz and Keysight, which disclosed tool qualification and IP readiness to help foundry customers accelerate advanced chip designs on Intel 18A.

The new roadmap includes evolutions for Intel 3, Intel 18A and Intel 14A process technologies, as well as Intel 3-T, which is optimized with through-silicon vias for 3D advanced packaging designs and will soon reach manufacturing readiness.

To date, 18A has been Intel's most advanced manufacturing node, slated to start producing chips in the second half of this year. Intel 14A was announced for the first time at the event and promises to deliver even more advanced computer chips. "You can think about this as 1.4 nanometer technology," Gelsinger said.

No further details were provided about the 14A node. But in a briefing with journalists, Gelsinger said each new manufacturing node tries to offer a 15% performance uplift from the previous node.

"Generally, when we say a node, it's at least double-digit power-performance improvements per node. You know, I think our cut off is 14% or 15%."

The roadmap also provided more information on mature process nodes, including new 12 nanometer nodes with FinFET capabilities expected through a joint development with United Microelectronics Corporation, Taiwan's second-largest foundry behind TSMC, which was announced last month. The venture is aiming at markets such as mobile, communication infrastructure and networking.